SRC = src/llist.c BIN = CC = clang DEBUG = 1 LDFLAGS =\ -static CFLAGS =\ -std=c99\ -Weverything\ -Wno-padded\ -Wno-disabled-macro-expansion\ -pedantic ifeq (${DEBUG},1) CFLAGS += -g else CFLAGS += -O2 -Werror endif