From e7dbfa59f218ece7ec65f7ea0ff2950573dd2267 Mon Sep 17 00:00:00 2001 From: Michael Niedermayer Date: Wed, 15 Feb 2012 00:20:24 +0100 Subject: [PATCH] swscale: enable some more SIMD functions. They no longer just segfault. Signed-off-by: Michael Niedermayer --- libswscale/x86/swscale_mmx.c | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/libswscale/x86/swscale_mmx.c b/libswscale/x86/swscale_mmx.c index 1118515164..10a1542d34 100644 --- a/libswscale/x86/swscale_mmx.c +++ b/libswscale/x86/swscale_mmx.c @@ -364,9 +364,9 @@ void ff_sws_init_swScale_mmx(SwsContext *c) } #define ASSIGN_VSCALEX_FUNC(vscalefn, opt, do_16_case) \ switch(c->dstBpc){ \ - case 16: /*do_16_case;*/ break; \ - case 10: if (!isBE(c->dstFormat)) /*vscalefn = ff_yuv2planeX_10_ ## opt;*/ break; \ - case 9: if (!isBE(c->dstFormat)) /*vscalefn = ff_yuv2planeX_9_ ## opt;*/ break; \ + case 16: do_16_case; break; \ + case 10: if (!isBE(c->dstFormat)) vscalefn = ff_yuv2planeX_10_ ## opt; break; \ + case 9: if (!isBE(c->dstFormat)) vscalefn = ff_yuv2planeX_9_ ## opt; break; \ default: /*vscalefn = ff_yuv2planeX_8_ ## opt;*/ break; \ } #define ASSIGN_VSCALE_FUNC(vscalefn, opt1, opt2, opt2chk) \