fate: add vf_idet filter to lavfi regression tests

This test only make sure that the idet filter does not crash.

Reviewed-by: Derek Buitenhuis <derek.buitenhuis@gmail.com>
Signed-off-by: Michael Niedermayer <michaelni@gmx.at>
This commit is contained in:
Matthieu Bouron 2012-10-10 10:52:44 +02:00 committed by Michael Niedermayer
parent 4d4f431ab7
commit e782d8728f
2 changed files with 2 additions and 0 deletions

View File

@ -44,6 +44,7 @@ do_lavfi "crop_vflip" "crop=iw-100:ih-100:100:100,vflip"
do_lavfi "drawbox" "drawbox=224:24:88:72:#FF8010@0.5"
do_lavfi "edgedetect" "edgedetect"
do_lavfi "fade" "fade=in:5:15,fade=out:30:15"
do_lavfi "idet" "idet"
do_lavfi "null" "null"
do_lavfi "overlay" "split[m],scale=88:72,pad=96:80:4:4[o2];[m]fifo[o1],[o1][o2]overlay=240:16"
do_lavfi "pad" "pad=iw*1.5:ih*1.5:iw*0.3:ih*0.2"

1
tests/ref/lavfi/idet Normal file
View File

@ -0,0 +1 @@
idet 1077e3cb6fc4916b5441dd08cea4c9a8